Intel CEO Claims 18A Node Will Match or Surpass TSMC’s N2 Performance and Reach the Market First

Intel CEO Claims 18A Node Will Match or Surpass TSMC’s N2 Performance and Reach the Market First

TechSpot’s 25th Anniversary

TechSpot is marking its 25th year as a trusted source of technology analysis and advice. With a longstanding commitment to quality, readers can rely on TechSpot for valuable insights into the tech world. Visit their ethics page at TechSpot for more information on their trusted guidance.

The TSMC-Intel Rivalry Intensifies

As semiconductor companies progress toward more advanced 3nm technologies and vie for leadership in the 2nm frontier, tension heats up between industry giants TSMC and Intel. The two have exchanged competitive claims over whose technology will triumph in the near future. TSMC, the Taiwanese powerhouse, is bullish about its roadmap, while American titan Intel is focused on leapfrogging the competition with its plans for a 2nm, or actually 1.8nm, process node, which they predict will surpass TSMC’s offerings.

Intel’s Confident Projections

Intel’s CEO, Pat Gelsinger, has made bold claims about the company’s forthcoming 18A process node, challenging TSMC’s anticipated 2nm chips with a launch date that precedes their rival’s. His statements during an interview with Barrons present a stark contrast to the assertions made by TSMC. Gelsinger’s optimism extends to the timing of Intel’s release, which is set against the urgent backdrop of the U.S. striving to secure semiconductor supply in the wake of tensions with China. Despite the uncertainty of one node’s superiority over the other, Intel is pressing ahead, while TSMC supplies key players like Apple with its advanced 3nm technology.

TSMC’s Roadmap and Innovations

TSMC has announced its N3P node, an optimized 3nm variant expected to rival Intel’s 18A in power, performance, and size, with mass production aimed for the latter half of 2024. This launch roughly coincides with Intel’s schedule for its 20A and 18A technology. Beyond this, TSMC is also setting sights on its 2nm N2 node for 2025, with confidence that it may lead the industry, potentially becoming the heart of future Apple products such as the iPhone 17 Pro.

Technological Advancements by Intel

Intel’s confidence in its 20A and 18A nodes is heavily bolstered by the introduction of RibbonFET architecture, showcasing the brand’s approach to next-generation gate-all-around (GAA) transistors and innovative backside power delivery systems. These advancements are set to be pivotal for manufacturing at the 2nm scale, enabling greater logic densities, improved clock speeds, and diminished power leakage. TSMC, while initially continuing with the established FinFET design for its upcoming 3nm nodes, plans to switch to GAA technology with its N2 node, following a year behind Intel’s transition.

Other Industry Movements

Intel and TSMC are not alone in the race to 2nm manufacturing prowess. Samsung has also thrown its hat into the ring, aiming for 2nm mass production by 2025, aligning closely with the timelines of its competitors. On another front, Japanese chipmaker Rapidus is set to experiment with 2nm prototypes by the same year, with ambitions of scaling up to mass production by 2027.

Read More